Community Profile

photo

Mike Buba


Last seen: 26 Tage vor Aktiv seit 2015

Followers: 0   Following: 0

Statistiken

  • Explorer
  • Thankful Level 3
  • Thankful Level 2

Abzeichen anzeigen

Feeds

Anzeigen nach

Frage


Is there a MATLAB's supercomputer the registered user can use to run numerical simulations?
Basically, the question from the title. I have time-consuming PC-heavy computations to do as part of my academic research. I am ...

27 Tage vor | 1 Antwort | 0

1

Antwort

Frage


How to start with optimization in MATLAB Simulink?
Are there any MATLAB Simulink optimization examples I could look at to get a general understanding of the method and the proces...

etwa ein Monat vor | 0 Antworten | 0

0

Antworten

Frage


Plot both global and local legend
In the tiledlayout, I am trying to plot both global and local legend. X = rand(5); Y = rand(5); figure tiledlayout(2,1); ...

3 Monate vor | 1 Antwort | 0

1

Antwort

Frage


How to write to register for TI DSPs?
I would like to change (i.e., overwrite) the register values (the whole register or single bit) during the code execution. For e...

mehr als ein Jahr vor | 1 Antwort | 0

1

Antwort

Frage


Using a Memory Copy block to read/write a single bit gives an error, and workaround not working?
Hi, I am trying to read data from and write data to an ePWM register. I can read the whole register, but when I try to read half...

mehr als ein Jahr vor | 1 Antwort | 0

1

Antwort

Frage


How to read and write to an upper half or a specific bit from a decimal number in Simulink?
Hi. Can you please tell me how to read and write integer number to the upper part of the register and read and write only one ...

mehr als ein Jahr vor | 1 Antwort | 0

1

Antwort

Frage


How to modify an existing Simulink TI C2000 ePWM block?
I would like to change the ePWM up-down counting direction (PHSDIR) online on a synchronization signal and set the TBPHS paramet...

mehr als ein Jahr vor | 1 Antwort | 0

1

Antwort

Frage


Simscape slower than Specialised Technology in Accelerator mode
I am ruing the same model: a three-phase source and diode rectifier and measuring the same signals. It seems that the Simscape m...

mehr als ein Jahr vor | 1 Antwort | 0

1

Antwort

Frage


How to change font size and location in a mask icon?
I would like to change font size and location in a mask. I found an answer here disp('{\bf\fontsize{12}Label Text}', 'texmode',...

mehr als ein Jahr vor | 1 Antwort | 0

1

Antwort

Frage


Modifying TI C2000 ePWM block output
Is it is possible to modify an ePWM output to do additional calculations before sending a PWM signal to GPIOs. For example, I ...

mehr als ein Jahr vor | 0 Antworten | 0

0

Antworten

Frage


How to replace cell in a table with number?
Hi, I have a table and some of the cell in a table are not numbers. How to replace them with numbers so I can plot them. So ...

fast 2 Jahre vor | 2 Antworten | 0

2

Antworten

Frage


Oversampling for C2000 (F28379D)
Hi, is it possible to oversample signals send to and from F28379D ControCARD in MATLAB Simulink? I am interested in oversampli...

fast 2 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


Can I set Tx and Rx priority for F28379D in Simulink?
Hello, Is it possible to set Tx and Rx priority for F28379D in Simulink? Reading here a solution for a different chip, one of...

fast 2 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


error when compiling in External mode with Default parameter behavior set to Inlined
Hello, I am trying to run my Simulink application code for F28379D in External mode with the Default parameter behavior set to ...

fast 2 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


F28379D: one part of the code behaves differently in External mode
Hi, I am testing a bespoke control code on TI ControlCard F28379D and working on a protection part. It seems one part of the co...

etwa 2 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


Load all mat files to Workspace and plot
Hi, I am recording signals from DSP in External mode and I can record a certain number of data (depends on sample time, number ...

mehr als 2 Jahre vor | 2 Antworten | 0

2

Antworten

Frage


Real-Time Code Execution Profiling -- how to understand the results?
I followed Real-Time Code Execution Profiling steps and recorded some data. How to understand this result, i.e. how to see if my...

etwa 3 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


Loading to two CPUs on F28379D and using the same GPIOs... CPU2 seems to be a 'master' and 'takes over' GPIOs?
Hi, I have loaded a code to CPU2 (rectangular pulse signal with a duration and frequency on GPIO31 and GPIO34). On the oscill...

mehr als 3 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


Simulink External Mode on Texas Instruments TMDSCNCD28379D (F28379D) not working
Hi, I am trying to run TMDSCNCD28379D in an External Mode in MATLAB R2018a. I can successfully run the code (Deploy to Hardwar...

mehr als 3 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


How to generate Sine or Ramp reference in Embedded Applications?
Hi, Do you have any idea or suggestions or experience on how to generate a Sine or Ramp reference in Embedded Applications (e.g...

fast 4 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


Implement derivative transfer function (numerator greater order than denominator) in Simulink
How to implement derivative transfer function (numerator greater order than denominator) in Simulink? Briefly, I am developing ...

fast 4 Jahre vor | 0 Antworten | 0

0

Antworten

Beantwortet
Simulink custom library help, relative file paths to image not working
I saved Help as html file (e.g. myLibHelp.html). In this html file I used realtive path and tested in web browser. For each b...

mehr als 5 Jahre vor | 0

| akzeptiert

Frage


Simulink custom library help, relative file paths to image not working
Hi, I am writing a help file for custom libraties. I am susing HTML to write help. I am having trouble using relative path when...

mehr als 5 Jahre vor | 1 Antwort | 0

1

Antwort

Frage


eCAN loading problems to TI C2000 C28x3x card; are example only for Vector hardware
Hi, I am trying to use CAN communication for loading application to Control Card F28335. I am using CAN Calibration Protocol an...

mehr als 5 Jahre vor | 3 Antworten | 0

3

Antworten

Frage


ePWM setting for C2000 DSP, output not the right frequency
Hi All, I am trying to generate PWM pulses for a three-phase inverter using C28335 DSP and Matlab Simulink. My switching freq...

fast 6 Jahre vor | 2 Antworten | 0

2

Antworten

Frage


C28335 Controller External mode communication keeps 'freezing'
Hi All, I am using C28335 Experiment kit and Matlab Simulink R2015a and CCSv5.5 to control dual stage converter (boost conver...

fast 6 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


C2000 Embedded coder -- Change default time-out
Hi all, I am trying to run a model in external mode, but keep getting the error " _Unable to connect to the 'TI Delfino F2833...

etwa 7 Jahre vor | 1 Antwort | 0

1

Antwort

Frage


'To RTDX' export to workspace, how to modify the code
Hi all, there is an example ( <https://uk.mathworks.com/help/supportpkg/texasinstrumentsc2000/examples/real-time-data-exchang...

mehr als 7 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


From/To RTDX vs Digital Input/Output for TI C2000
Hi! Beginners question here :) What is the difference between From/To RTDX and Digital Input/Output blocks for TI C2000 C28x3...

fast 8 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


Save trace data from Plecs Scope from m file
Hi. How to save trace data from Plecs Scope from m file. I have multiple Scopes and have to simulate multiple cases. I am writin...

mehr als 8 Jahre vor | 0 Antworten | 0

0

Antworten