HDL Verifier Max Bit Width Using System Object

2 Ansichten (letzte 30 Tage)
David
David am 10 Nov. 2021
Bearbeitet: David am 12 Nov. 2021
I have a SystemVerilog HDL module that I am trying to test with HDL Verifier. The module takes in a packed 2-D array of 16x32 elements. This means that one of the input ports requires 512 bits, but when I try to send an FI object in that's 512 bits wide using the step function, I get an error that the max fixed point word length is 128 bits.
The only workaround that I know is to fall back to the old method of using raw bit strings and a callback function. But that's not ideal as I hope to be able to use FIL later which appears to exclusively use the System object.
I tried using an unpacked array, but that didn't seem to work with HDL verifier at all.
Is there a way to accomplish this with the System object?
Thank you!
-David

Akzeptierte Antwort

YP
YP am 12 Nov. 2021
Hi David,
Do you mean FIL system object? FIL doesn't support sytem verilog HDL. Can you attach your code and elaborate your workflow?
And I don't understand what you mean by 'old method of using raw bit strings and a callback function' either.
  5 Kommentare
Marc Erickson
Marc Erickson am 12 Nov. 2021
The flattening would requiring splitting as well, to something like:
input wire [15:0] [7:0] input_elements_1;
input wire [15:0] [7:0] input_elements_2;
etc.
Frames are what you suspect: they represent a collection of samples over time. We have not deprecated frames, just the use of the property to designate whether it is a frame or a multi-dimensional sample. Unfortunately, this is exactly the differentiation you are looking for.
So, currently the only workaround to supporting N-D signals is to:
  1. use Simulink cosim and FIL
  2. wrap your Verilog in VHDL
David
David am 12 Nov. 2021
Bearbeitet: David am 12 Nov. 2021
I was not aware that HDL Verifier supported VHDL arrays in this manner. Do you happen to have some examples of how 2-D (or any multidimensional arrays) can be used with VHDL and cosim without FIL? In the mean time I'll poke around in the HDL Verifier user guide and see if I can find anything.
Thank you very much for the help!
-David

Melden Sie sich an, um zu kommentieren.

Weitere Antworten (0)

Produkte


Version

R2021a

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by