photo

Fahri Gürbüz


Last seen: 5 Monate vor Aktiv seit 2020

Followers: 0   Following: 0

Statistik

  • Thankful Level 2
  • Thankful Level 1
  • Explorer

Abzeichen anzeigen

Feeds

Anzeigen nach

Frage


help for forcing simulink in order to run using ode4 (RG4)
Dear all, I have generate a motor model according to dq reference frame theory. The model is run without any problem, but I mus...

fast 3 Jahre vor | 0 Antworten | 0

0

Antworten

Frage


FPGA data capture setting problem
Dear all, I am trying to use FPGA data capture and following the instructions given in the page https://www.mathworks.com/help/...

mehr als 3 Jahre vor | 1 Antwort | 0

1

Antwort

Beantwortet
hdl coder work flow adviser block compability error
Dear Kiran Kintali, First of all, thanks for your fast answer. I have used all data either single or fixed-point and as you kno...

fast 4 Jahre vor | 0

Frage


hdl coder work flow adviser block compability error
Dear all, I have a model so as to control a pmsm. when I run the hdl workflow adviser to generate VHDL code, an error which is ...

fast 4 Jahre vor | 4 Antworten | 0

4

Antworten

Frage


hdl coder IO buffer error
Hi, I am creating a model using model based design for motor control. I have generated vhdl code and run implementation in viva...

etwa 4 Jahre vor | 1 Antwort | 0

1

Antwort

Frage


hdl coder ram usage and source optimizaion
Dear all, I am using hdl coder and modelling current and speed PI with space vector PWM and SPI blocks. When I go to vivado, I ...

etwa 4 Jahre vor | 1 Antwort | 0

1

Antwort

Frage


hdl coder model checker output latetency and ulp error warning
Hi, I am trying to generate motor speed controller in FPGA. I have completed my model and now I am in code generation phase. I...

etwa 4 Jahre vor | 1 Antwort | 0

1

Antwort

Frage


How can I define FPGA pin as data input in simulink model?
Hello Everyone, I am a new FPGA model-based design learner. Thus, finding what I want is still a puzzle for me. I am studying o...

etwa 4 Jahre vor | 0 Antworten | 0

0

Antworten