Community Profile

photo

Giorgia Zucchelli

MathWorks

Last seen: 3 Tage vor Aktiv seit 2012

I do Technical Marketing for RF and Mixed-Signal products at MathWorks. I am located in Eindhoven, The Netherlands.

Statistiken

All
  • Knowledgeable Level 2
  • 5-Star Galaxy Level 4
  • Personal Best Downloads Level 3
  • First Review
  • First Submission
  • First Answer

Abzeichen anzeigen

Content Feed

Anzeigen nach

Gesendet


Testbench to determine the harmonic order of a SimRF Circuit Envelope simulation
Determine the harmonic order to find the best tradeoff between simulation time and accuracy.

mehr als 7 Jahre vor | 1 Download |

Thumbnail

Gesendet


RationalFittingApp
MATLAB App for rational fitting of 2 ports S-parameters.

mehr als 7 Jahre vor | 5 Downloads |

Thumbnail

Gesendet


Fixed-Point ATAN2 using CORDIC
This demo shows how a fixed-point cordic algorithm to calculate a phase from polar coordinates (arct

mehr als 7 Jahre vor | 1 Download |

Gesendet


Phase Locked Loop tutorial
A tutorial showing how Phase-Locked Loops, both analog and digital can be efficiently modeling in Si

mehr als 7 Jahre vor | 29 Downloads |

Thumbnail

Beantwortet
Matlab compiled code RF toolbox read function works if called from compiled script but not a compiled function
Dear Josiah, I built a very simple main function invoking the function RF_ReadTouchstone, and I managed to compile and execut...

etwa 9 Jahre vor | 0

Beantwortet
Magnitude data of S-parameters
Hi Anna, I think I would recommend the following approach: S = sparameters('Data.s2p'); % read the touchstone file s_...

etwa 9 Jahre vor | 0

| akzeptiert

Beantwortet
Getting I and Q components from square wave in simulink
Dear Muhammad, probably the easiest way to build a complex rectangular waveform is to use two signal generators (one for the ...

etwa 9 Jahre vor | 1

Beantwortet
Delay factor in rationalfit ?
Hi, From the RF Toolbox documentation: The delay factor is a scaling factor that controls the amount of delay to fit to th...

mehr als 9 Jahre vor | 0

Beantwortet
4-Port Scatter parameters to 2-Port Scatter Paremeters
Hi, The RF Toolbox documetation references this article "Mixed-Mode S-Parameter Characterization of Differential Structures" ...

mehr als 9 Jahre vor | 0

Beantwortet
4-Port Scatter parameters to 2-Port Scatter Paremeters
Dear Jay, Converting S-parameters can be done, but it is not compulsory. I can imagine that you might want to do it when you ...

mehr als 9 Jahre vor | 0

| akzeptiert

Beantwortet
How to model a reference signal in RF of radar system?
Dear Chen, in SimRF you have two modelling technologies: Equivalent Baseband and Circuit Envelope. Both technologies are suit...

fast 10 Jahre vor | 0

Beantwortet
phase shift with simrf
Hi, Apologies for the late reply. The SimRF phase shift block applies a rotation to the carrier frequency. For example, i...

mehr als 10 Jahre vor | 0

Beantwortet
phase shift with simrf
Hi, I assume you are referring to the phase shift block of the Circuit Envelope library in SimRF. You can find an example of ...

mehr als 10 Jahre vor | 0

Beantwortet
.amp file definition leading warning on impedance
Dear Changlin, For this type of questions I would recommend to directly contact MathWorks technical support. I will give a sh...

mehr als 10 Jahre vor | 0

Beantwortet
simRF example-direct conversion receiver
Hi Yao, The direct conversion receiver demo uses two complex modulated input signals: the first is centered around 2.45GHz an...

mehr als 10 Jahre vor | 0

Beantwortet
Simulink S-Parameters Plotting
Dear Mark, If you are using components from the Equivalent Baseband library, you can plot the S-parameters of the overall cas...

mehr als 10 Jahre vor | 0

Beantwortet
RFToolbox - Network correctly constructed? - Matrix gets singular
Dear Lars, The problem is in the network LBC2R_Obj: it is built as a series, while I think that you wanted to have a cascade ...

mehr als 11 Jahre vor | 0

| akzeptiert