photo

David Balbuena

Last seen: 8 Tage vor Aktiv seit 2020

Followers: 0   Following: 0

Statistik

All
  • First Review
  • 5-Star Galaxy Level 1
  • First Submission
  • GitHub Submissions Level 1
  • Revival Level 1
  • First Answer

Abzeichen anzeigen

Feeds

Anzeigen nach

Beantwortet
Problem with C-Caller
Your model runs and produces results on my end. It's possible that the scope is not scaled properly for you to see the output. T...

12 Monate vor | 0

Beantwortet
Non-static tunable parameters in C++ class code generation.
Generating non-static parameters is supported starting in R2021a. See this post for instructions on how to configure the model: ...

12 Monate vor | 0

Gesendet


Simscape Multibody Reduced Order Flexible Cylinder
Get started with the Reduced Order Flexible Solid block using a simple cylinder

mehr als ein Jahr vor | 8 Downloads |

Thumbnail

Beantwortet
How can I debug a simulink C caller block in Visual Studio?
In R2022a, a new feature was introduced to make it easy to debug custom code. You can refer to this page: https://www.mathworks....

mehr als 2 Jahre vor | 0

Beantwortet
Signal Logging in a Protected Reference Model
Hi Vincenzo, You are correct that you cannot log signals inside a Protected Model. As a workaround, you have 3 options: 1) Bri...

mehr als 3 Jahre vor | 0

Beantwortet
Using Discrete PID Significantly Slows Down Simulation
This is most likely happening because you have a discrete controller driving a continuous variable-step plant. As mentioned in t...

etwa 4 Jahre vor | 1