How to make a Simulink block constant, execute only once?

24 Ansichten (letzte 30 Tage)
Luis Ruiz
Luis Ruiz am 16 Aug. 2018
Beantwortet: TAB am 17 Aug. 2018
I have a model in Simulink (2018a) which has more models inside. So I have:
parent.slx --> child.slx
I want child.slx to execute only once in the whole simulation, so basically its output will be constant at all times.

Akzeptierte Antwort

TAB
TAB am 17 Aug. 2018
Put your child model in Enabled Subsystem in parent model.
[parent.slx [Enabled Subsystem [Enchild.slx]]]
Enable the subsystem only once using "Stateflow" or using "Constant block + Unit delay Block arrangement".
Using "Constant block + Unit delay Block arrangement" you can do as below
[Constant(Value=0)]-->[Unit delay (Initial condition=1)]--> to enable port

Weitere Antworten (0)

Kategorien

Mehr zu Modeling finden Sie in Help Center und File Exchange

Produkte


Version

R2018a

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by