Filter löschen
Filter löschen

What does this mean? -- Simulation of default block diagram is not allowed

6 Ansichten (letzte 30 Tage)
I want to use the App designer to run my Simulink modle, and I use "set_param( modlename,'SimulationCommand','start');", but I get this bug " Simulation of default block diagram is not allowed". What does this mean, and how can I solve it?

Antworten (2)

Abel Babu
Abel Babu am 2 Jun. 2017
Bearbeitet: Abel Babu am 2 Jun. 2017
Hi Ying,
Maybe you can first try connecting to the model and then do the simulation. The code then would look like this:
set_param (model_name, 'SimulationCommand', 'connect');
set_param (gcs, 'SimulationCommand', 'start')
Abel
  2 Kommentare
ying xiong
ying xiong am 2 Jun. 2017
Hi Abel, Thank you! But I just try your suggestion, the error still exists.
Carlos Domínguez
Carlos Domínguez am 1 Okt. 2021
Hi, I have the same problem. Have you another suggestion to this bug?

Melden Sie sich an, um zu kommentieren.


Yukun Lau
Yukun Lau am 12 Sep. 2018
I get the bug same with you, have you got the answer

Kategorien

Mehr zu 事件函数 finden Sie in Help Center und File Exchange

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!