Simulink coder doesn't generate custom storage class code?

2 Ansichten (letzte 30 Tage)
Song
Song am 14 Apr. 2017
Beantwortet: Song am 12 Mai 2017
With the attached model and data dictionary, the generated code still put the parameter p1 in its default header file, although I specified it as custom storage class and should be "ConstVolatile".
What did I miss in the configuration?
Thanks

Akzeptierte Antwort

Song
Song am 12 Mai 2017
change from grt to ert solves this problem.

Weitere Antworten (1)

Song
Song am 14 Apr. 2017

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!