Filter löschen
Filter löschen

Can anyone Please me on how to build a analog to digital and digital to analog converter Model in simulink?

5 Ansichten (letzte 30 Tage)
I have use DAC where i have to convert a digital signal to analog signal so that i can add it to AWGN channel....And the output should be again converted back to digital....I can easily guess that I require a DAC an ADC... but there are no separate blocks for them in Simulink... And I am unable to do it in simulink?...Plz help me...
  1 Kommentar
kshama PATEL
kshama PATEL am 17 Mär. 2017
Bearbeitet: kshama PATEL am 17 Mär. 2017
i am working on the same concept. even i am unable to do DAC in simulink. Please respond back if you find a solution of the same.

Melden Sie sich an, um zu kommentieren.

Antworten (1)

Mike Woodward
Mike Woodward am 22 Nov. 2011
Dear Yella,
You might find the new free mixed-signal library useful: http://www.mathworks.com/programs/mixed-signal/index.html
Mike

Kategorien

Mehr zu Data Converters finden Sie in Help Center und File Exchange

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by